Rename {A,B} -> {A2,B2}
[yosys.git] / tests / lut / map_cmp.v
1 module top(...);
2 parameter LUT_WIDTH = 4; // Multiples of 2 only
3 input [LUT_WIDTH-1:0] a;
4
5 output o1_1 = {(LUT_WIDTH/2){2'b10}} <= a;
6 output o1_2 = {(LUT_WIDTH/2){2'b10}} < a;
7 output o1_3 = {(LUT_WIDTH/2){2'b10}} >= a;
8 output o1_4 = {(LUT_WIDTH/2){2'b10}} > a;
9 output o1_5 = {(LUT_WIDTH/2){2'b10}} == a;
10 output o1_6 = {(LUT_WIDTH/2){2'b10}} != a;
11
12 output o2_1 = a <= {(LUT_WIDTH/2){2'b10}};
13 output o2_2 = a < {(LUT_WIDTH/2){2'b10}};
14 output o2_3 = a >= {(LUT_WIDTH/2){2'b10}};
15 output o2_4 = a > {(LUT_WIDTH/2){2'b10}};
16 output o2_5 = a == {(LUT_WIDTH/2){2'b10}};
17 output o2_6 = a != {(LUT_WIDTH/2){2'b10}};
18
19 output o3_1 = {(LUT_WIDTH/2){2'sb01}} <= $signed(a);
20 output o3_2 = {(LUT_WIDTH/2){2'sb01}} < $signed(a);
21 output o3_3 = {(LUT_WIDTH/2){2'sb01}} >= $signed(a);
22 output o3_4 = {(LUT_WIDTH/2){2'sb01}} > $signed(a);
23 output o3_5 = {(LUT_WIDTH/2){2'sb01}} == $signed(a);
24 output o3_6 = {(LUT_WIDTH/2){2'sb01}} != $signed(a);
25
26 output o4_1 = $signed(a) <= {LUT_WIDTH{1'sb0}};
27 output o4_2 = $signed(a) < {LUT_WIDTH{1'sb0}};
28 output o4_3 = $signed(a) >= {LUT_WIDTH{1'sb0}};
29 output o4_4 = $signed(a) > {LUT_WIDTH{1'sb0}};
30 endmodule