Merge pull request #1073 from whitequark/ecp5-diamond-iob
[yosys.git] / tests / lut / map_xor.v
1 module top(...);
2 input a, b;
3 output y;
4 assign y = a^b;
5 endmodule