Add ability to override verilog mode for verific -f command
[yosys.git] / tests / opt / opt_dff_clk.ys
1 ### Never-toggling CLK removal.
2
3 read_verilog -icells <<EOT
4
5 module top(...);
6
7 input EN;
8 input [1:0] D;
9 (* init = 18'h15555 *)
10 output [17:0] Q;
11 input SRST;
12 input ARST;
13 input [1:0] CLR;
14 input [1:0] SET;
15
16 $dff #(.CLK_POLARITY(1'b1), .WIDTH(2)) ff0 (.CLK(1'b0), .D(D), .Q(Q[1:0]));
17 $dffe #(.CLK_POLARITY(1'b1), .EN_POLARITY(1'b1), .WIDTH(2)) ff1 (.CLK(1'b1), .EN(EN), .D(D), .Q(Q[3:2]));
18 $adff #(.CLK_POLARITY(1'b1), .ARST_POLARITY(1'b1), .ARST_VALUE(2'h2), .WIDTH(2)) ff2 (.CLK(1'bx), .ARST(ARST), .D(D), .Q(Q[5:4]));
19 $adffe #(.CLK_POLARITY(1'b1), .EN_POLARITY(1'b0), .ARST_POLARITY(1'b1), .ARST_VALUE(2'h2), .WIDTH(2)) ff3 (.CLK(1'b0), .EN(EN), .ARST(ARST), .D(D), .Q(Q[7:6]));
20 $sdff #(.CLK_POLARITY(1'b1), .SRST_POLARITY(1'b1), .SRST_VALUE(2'h2), .WIDTH(2)) ff4 (.CLK(1'b1), .SRST(SRST), .D(D), .Q(Q[9:8]));
21 $sdffe #(.CLK_POLARITY(1'b1), .EN_POLARITY(1'b1), .SRST_POLARITY(1'b1), .SRST_VALUE(2'h2), .WIDTH(2)) ff5 (.CLK(1'bx), .EN(EN), .SRST(SRST), .D(D), .Q(Q[11:10]));
22 $sdffce #(.CLK_POLARITY(1'b1), .EN_POLARITY(1'b1), .SRST_POLARITY(1'b1), .SRST_VALUE(2'h2), .WIDTH(2)) ff6 (.CLK(1'bx), .EN(EN), .SRST(SRST), .D(D), .Q(Q[13:12]));
23 $dffsr #(.CLK_POLARITY(1'b1), .CLR_POLARITY(1'b1), .SET_POLARITY(1'b0), .WIDTH(2)) ff7 (.CLK(1'b1), .SET(SET), .CLR(CLR), .D(D), .Q(Q[15:14]));
24 $dffsre #(.CLK_POLARITY(1'b1), .EN_POLARITY(1'b0), .CLR_POLARITY(1'b1), .SET_POLARITY(1'b0), .WIDTH(2)) ff8 (.CLK(1'bx), .EN(EN), .SET(SET), .CLR(CLR), .D(D), .Q(Q[17:16]));
25
26 endmodule
27
28 EOT
29
30 design -save orig
31
32 equiv_opt -undef -assert -multiclock opt_dff -keepdc
33 design -load postopt
34 select -assert-count 2 t:$dlatch
35 select -assert-count 2 t:$sr
36 select -assert-none t:$dlatch t:$sr %% %n t:* %i
37
38 design -load orig
39 simplemap
40
41 equiv_opt -undef -assert -multiclock opt_dff -keepdc
42 design -load postopt
43 select -assert-count 4 t:$_DLATCH_?_
44 select -assert-count 4 t:$_SR_??_
45 select -assert-none t:$_DLATCH_?_ t:$_SR_??_ %% %n t:* %i