Add ability to override verilog mode for verific -f command
[yosys.git] / tests / opt / opt_expr_constconn.ys
1 read_verilog opt_expr_constconn.v
2 select -assert-count 1 t:$add
3 select -assert-count 1 t:$add %ci w:C %i
4 equiv_opt -assert opt_expr
5 design -load postopt
6 select -assert-count 1 t:$add
7 select -assert-count 0 t:$add %ci w:C %i