Do not use shiftmul peepopt pattern when mul result is truncated, fixes #1047
[yosys.git] / tests / opt / opt_ff.ys
1 read_verilog opt_ff.v
2 synth_ice40
3 ice40_unlut