Do not use shiftmul peepopt pattern when mul result is truncated, fixes #1047
[yosys.git] / tests / opt / opt_lut_elim.ys
1 read_ilang opt_lut_elim.il
2 opt_lut
3 select -assert-count 0 t:$lut