Merge pull request #1143 from YosysHQ/clifford/fix1135
[yosys.git] / tests / opt / opt_lut_port.ys
1 read_ilang opt_lut_port.il
2 opt_lut
3 select -assert-count 2 t:$lut