Add ability to override verilog mode for verific -f command
[yosys.git] / tests / opt / opt_rmdff_sat.v
1 module top (
2 input clk,
3 output reg [7:0] cnt
4 );
5 initial cnt = 0;
6 always @(posedge clk) begin
7 if (cnt < 20)
8 cnt <= cnt + 1;
9 else
10 cnt <= 0;
11 end
12 endmodule