Switched to Python 3
[yosys.git] / tests / realmath / generate.py
1 #!/usr/bin/env python3
2
3 import sys
4 import random
5 from contextlib import contextmanager
6
7 @contextmanager
8 def redirect_stdout(new_target):
9 old_target, sys.stdout = sys.stdout, new_target
10 try:
11 yield new_target
12 finally:
13 sys.stdout = old_target
14
15 def random_expression(depth = 3, maxparam = 0):
16 def recursion():
17 return random_expression(depth = depth-1, maxparam = maxparam)
18 if depth == 0:
19 if maxparam != 0 and random.randint(0, 1) != 0:
20 return 'p%02d' % random.randint(0, maxparam-1)
21 return random.choice([ '%e', '%f', '%g' ]) % random.uniform(-2, +2)
22 if random.randint(0, 4) == 0:
23 return recursion() + random.choice([ ' < ', ' <= ', ' == ', ' != ', ' >= ', ' > ' ]) + recursion() + ' ? ' + recursion() + ' : ' + recursion()
24 op_prefix = [ '+(', '-(' ]
25 op_infix = [ ' + ', ' - ', ' * ', ' / ' ]
26 op_func1 = [ '$ln', '$log10', '$exp', '$sqrt', '$floor', '$ceil', '$sin', '$cos', '$tan', '$asin', '$acos', '$atan', '$sinh', '$cosh', '$tanh', '$asinh', '$acosh', '$atanh' ]
27 op_func2 = [ '$pow', '$atan2', '$hypot' ]
28 op = random.choice(op_prefix + op_infix + op_func1 + op_func2)
29 if op in op_prefix:
30 return op + recursion() + ')'
31 if op in op_infix:
32 return '(' + recursion() + op + recursion() + ')'
33 if op in op_func1:
34 return op + '(' + recursion() + ')'
35 if op in op_func2:
36 return op + '(' + recursion() + ', ' + recursion() + ')'
37 raise
38
39 for idx in range(100):
40 with open('temp/uut_%05d.v' % idx, 'w') as f:
41 with redirect_stdout(f):
42 print('module uut_%05d(output [63:0] %s);\n' % (idx, ', '.join(['y%02d' % i for i in range(100)])))
43 for i in range(30):
44 if idx < 10:
45 print('localparam p%02d = %s;' % (i, random_expression()))
46 else:
47 print('localparam%s p%02d = %s;' % (random.choice(['', ' real', ' integer']), i, random_expression()))
48 for i in range(30, 60):
49 if idx < 10:
50 print('localparam p%02d = %s;' % (i, random_expression(maxparam = 30)))
51 else:
52 print('localparam%s p%02d = %s;' % (random.choice(['', ' real', ' integer']), i, random_expression(maxparam = 30)))
53 for i in range(100):
54 print('assign y%02d = 65536 * (%s);' % (i, random_expression(maxparam = 60)))
55 print('endmodule')
56 with open('temp/uut_%05d.ys' % idx, 'w') as f:
57 with redirect_stdout(f):
58 print('read_verilog uut_%05d.v' % idx)
59 print('rename uut_%05d uut_%05d_syn' % (idx, idx))
60 print('write_verilog uut_%05d_syn.v' % idx)
61 with open('temp/uut_%05d_tb.v' % idx, 'w') as f:
62 with redirect_stdout(f):
63 print('module uut_%05d_tb;\n' % idx)
64 print('wire [63:0] %s;' % (', '.join(['r%02d' % i for i in range(100)])))
65 print('wire [63:0] %s;' % (', '.join(['s%02d' % i for i in range(100)])))
66 print('uut_%05d ref(%s);' % (idx, ', '.join(['r%02d' % i for i in range(100)])))
67 print('uut_%05d_syn syn(%s);' % (idx, ', '.join(['s%02d' % i for i in range(100)])))
68 print('task compare_ref_syn;')
69 print(' input [7:0] i;')
70 print(' input [63:0] r, s;')
71 print(' reg [64*8-1:0] buffer;')
72 print(' integer j;')
73 print(' begin')
74 print(' if (-1 <= $signed(r-s) && $signed(r-s) <= +1) begin')
75 print(' // $display("%d: %b %b", i, r, s);')
76 print(' end else if (r === s) begin ')
77 print(' // $display("%d: %b %b", i, r, s);')
78 print(' end else begin ')
79 print(' for (j = 0; j < 64; j = j+1)')
80 print(' buffer[j*8 +: 8] = r[j] !== s[j] ? "^" : " ";')
81 print(' $display("\\n%3d: %b %b", i, r, s);')
82 print(' $display(" %s %s", buffer, buffer);')
83 print(' end')
84 print(' end')
85 print('endtask')
86 print('initial begin #1;')
87 for i in range(100):
88 print(' compare_ref_syn(%2d, r%02d, s%02d);' % (i, i, i))
89 print('end')
90 print('endmodule')
91