fail svinterfaces testcases on yosys error exit
[yosys.git] / tests / sat / .gitignore
1 *.log