Merge pull request #2319 from YosysHQ/mwk/techmap-celltype-pattern
[yosys.git] / tests / sat / asserts.ys
1 read_verilog -sv asserts.v
2 hierarchy; proc; opt
3 sat -verify -seq 1 -set-at 1 rst 1 -tempinduct -prove-asserts