Add a couple more tests
[yosys.git] / tests / sat / asserts_seq.ys
1 read_verilog -sv asserts_seq.v
2 hierarchy; proc; opt
3
4 sat -verify -prove-asserts -tempinduct -seq 1 test_001
5 sat -falsify -prove-asserts -tempinduct -seq 1 test_002
6 sat -falsify -prove-asserts -tempinduct -seq 1 test_003
7 sat -falsify -prove-asserts -tempinduct -seq 1 test_004
8 sat -verify -prove-asserts -tempinduct -seq 1 test_005
9
10 sat -verify -prove-asserts -seq 2 test_001
11 sat -falsify -prove-asserts -seq 2 test_002
12 sat -falsify -prove-asserts -seq 2 test_003
13 sat -falsify -prove-asserts -seq 2 test_004
14 sat -verify -prove-asserts -seq 2 test_005
15