2079d2f34e13971cd336cb50372e14baace6b9e9
[yosys.git] / tests / sat / initval.ys
1 read_verilog -sv initval.v
2 proc;;
3
4 sat -seq 10 -prove-asserts