In sat: 'x' in init attr should not override constant
[yosys.git] / tests / sat / initval.ys
1 read_verilog -sv initval.v
2 proc;
3
4 sat -seq 10 -prove-asserts