fail svinterfaces testcases on yosys error exit
[yosys.git] / tests / sat / run-test.sh
1 #!/bin/bash
2 set -e
3 for x in *.ys; do
4 echo "Running $x.."
5 ../../yosys -ql ${x%.ys}.log $x
6 done