fail svinterfaces testcases on yosys error exit
[yosys.git] / tests / share / .gitignore
1 temp