1 module bar(clk, rst, inp, out);
6 (* an_attribute_on_localparam = 55 *)
7 localparam INCREMENT = 5;
11 input wire [WIDTH-1:0] inp;
12 output reg [WIDTH-1:0] out;
16 else out <= inp + INCREMENT;
20 module foo(clk, rst, inp, out);
24 output wire [7:0] out;
26 bar # (.WIDTH(8)) bar_instance (clk, rst, inp, out);