Added tests for attributes
[yosys.git] / tests / simple / constpower.v
1 module constpower(ys, yu);
2
3 output [8*8*8-1:0] ys, yu;
4
5 genvar i, j;
6
7 generate
8 for (i = 0; i < 8; i = i+1)
9 for (j = 0; j < 8; j = j+1) begin:V
10 assign ys[i*8 + j*64 + 7 : i*8 + j*64] = $signed(i-4) ** $signed(j-4);
11 assign yu[i*8 + j*64 + 7 : i*8 + j*64] = $unsigned(i) ** $unsigned(j);
12 end
13 endgenerate
14
15 endmodule