verilog: significant block scoping improvements
[yosys.git] / tests / simple / local_loop_var.sv
1 module top(out);
2 output integer out;
3 initial begin
4 integer i;
5 for (i = 0; i < 5; i = i + 1)
6 if (i == 0)
7 out = 1;
8 else
9 out += 2 ** i;
10 end
11 endmodule