Add opt_rmdff tests
[yosys.git] / tests / simple / localparam_attr.v
1 module uut_localparam_attr (I, O);
2
3 (* LOCALPARAM_ATTRIBUTE = "attribute_content" *)
4 localparam WIDTH = 1;
5
6 input wire [WIDTH-1:0] I;
7 output wire [WIDTH-1:0] O;
8
9 assign O = I;
10
11 endmodule