Add tests based on the test case from #1990
[yosys.git] / tests / simple / signedexpr.v
1 module signed_test01(a, b, xu, xs, yu, ys, zu, zs);
2
3 input signed [1:0] a;
4 input signed [2:0] b;
5 output [3:0] xu, xs;
6 output [3:0] yu, ys;
7 output zu, zs;
8
9 assign xu = (a + b) + 3'd0;
10 assign xs = (a + b) + 3'sd0;
11
12 assign yu = {a + b} + 3'd0;
13 assign ys = {a + b} + 3'sd0;
14
15 assign zu = a + b != 3'd0;
16 assign zs = a + b != 3'sd0;
17
18 endmodule