Merge pull request #1814 from YosysHQ/mmicko/pyosys_makefile
[yosys.git] / tests / simple / specify.v
1 module test_specify;
2
3 specparam a=1;
4
5 specify
6 endspecify
7
8 specify
9 (A => B) = ( 1 ) ;
10 (A- => B) = ( 1,2 ) ;
11 (A+ => B) = ( 1,2,3 ) ;
12 (A => B) = (
13 1.1, 2, 3,
14 4, 5.5, 6.6
15 ) ;
16 (A => B) = (
17 1.1, 2, 3,
18 4, 5.5, 6.6 ,
19 7.7, 8.8, 9,
20 10.1, 11, 12
21 ) ;
22 specparam b=1;
23 specparam [1:2] asasa=1;
24 endspecify
25
26 specify
27 specparam c=1:2:3;
28 endspecify
29
30 endmodule
31