Merge pull request #1814 from YosysHQ/mmicko/pyosys_makefile
[yosys.git] / tests / simple / values.v
1
2 module test_signed(a, b, c, d, y);
3
4 input [3:0] a, b, c;
5 input signed [3:0] d;
6 output reg [7:0] y;
7
8 always @* begin
9 if (a && b)
10 y = c;
11 else
12 y = d;
13 end
14
15 endmodule
16
17 module test_const(a, y);
18
19 input [3:0] a;
20 output reg [28:0] y;
21
22 always @*
23 case (a)
24 4'b0000: y = 0;
25 4'b0001: y = 11;
26 4'b0010: y = 222;
27 4'b0011: y = 3456;
28 4'b0100: y = 'b10010010;
29 4'b0101: y = 'h123abc;
30 4'b0110: y = 'o1234567;
31 4'b0111: y = 'd3456789;
32 4'b1000: y = 16'b10010010;
33 4'b1001: y = 16'h123abc;
34 4'b1010: y = 16'o1234567;
35 4'b1011: y = 16'd3456789;
36 4'b1100: y = { "foo", "bar" };
37 4'b1101: y = "foobarfoobarfoobar";
38 4'b1110: y = 16'h1;
39 4'b1111: y = a;
40 default: y = 'bx;
41 endcase
42
43 endmodule
44