Add tests based on the test case from #1990
[yosys.git] / tests / simple / wreduce.v
1 module wreduce_test0(input [7:0] a, b, output [15:0] x, y, z);
2 assign x = -$signed({1'b0, a});
3 assign y = $signed({1'b0, a}) + $signed({1'b0, b});
4 assign z = x ^ y;
5 endmodule
6
7 module wreduce_test1(input [31:0] a, b, output [7:0] x, y, z, w);
8 assign x = a - b, y = a * b, z = a >> b, w = a << b;
9 endmodule