fail svinterfaces testcases on yosys error exit
[yosys.git] / tests / sva / basic00.sv
1 module top (input clk, reset, antecedent, output reg consequent);
2 always @(posedge clk)
3 consequent <= reset ? 0 : antecedent;
4
5 `ifdef FAIL
6 test_assert: assert property ( @(posedge clk) disable iff (reset) antecedent |-> consequent )
7 else $error("Failed with consequent = ", $sampled(consequent));
8 `else
9 test_assert: assert property ( @(posedge clk) disable iff (reset) antecedent |=> consequent )
10 else $error("Failed with consequent = ", $sampled(consequent));
11 `endif
12 endmodule