Add some simple SVA test cases for future Verific work
[yosys.git] / tests / sva / basic00.sv
1 module top (input clk, reset, antecedent, output reg consequent);
2 always @(posedge clk)
3 consequent <= reset ? 0 : antecedent;
4
5 test_assert: assert property ( @(posedge clk) disable iff (reset) antecedent |-> consequent )
6 else $error("Failed with consequent = ", $sampled(consequent));
7 endmodule