fail svinterfaces testcases on yosys error exit
[yosys.git] / tests / sva / extnets.sv
1 module top(input i, output o);
2 A A();
3 B B();
4 assign A.i = i;
5 assign o = B.o;
6 always @* assert(o == i);
7 endmodule
8
9 module A;
10 wire i, y;
11 `ifdef FAIL
12 assign B.x = i;
13 `else
14 assign B.x = !i;
15 `endif
16 assign y = !B.y;
17 endmodule
18
19 module B;
20 wire x, y, o;
21 assign y = x, o = A.y;
22 endmodule