Merge pull request #1124 from mmicko/json_ports
[yosys.git] / tests / sva / sva_range.sv
1 module top (
2 input clk,
3 input a, b, c, d
4 );
5 default clocking @(posedge clk); endclocking
6
7 assert property (
8 a ##[*] b |=> c until d
9 );
10
11 `ifndef FAIL
12 assume property (
13 b |=> ##5 d
14 );
15 assume property (
16 b || (c && !d) |=> c
17 );
18 `endif
19 endmodule