Merge remote-tracking branch 'origin/master' into xc7mux
[yosys.git] / tests / svinterfaces / .gitignore
1 /a.out
2 /dut_result.txt
3 /reference_result.txt
4 /*.diff
5 /*.log_stderr
6 /*.log_stdout
7 /*_ref_syn.v
8 /*_syn.v