Support module/package/interface/block scope for typedef names.
[yosys.git] / tests / svinterfaces / run-test.sh
1 #/bin/bash -e
2
3
4
5 ./runone.sh svinterface1
6 ./runone.sh svinterface_at_top