Merge pull request #1519 from YosysHQ/eddie/submod_po
[yosys.git] / tests / svtypes / enum_simple.ys
1
2 read_verilog -sv enum_simple.sv
3 hierarchy; proc; opt
4 sat -verify -seq 1 -set-at 1 rst 1 -tempinduct -prove-asserts -show-all
5