Add ability to override verilog mode for verific -f command
[yosys.git] / tests / svtypes / logic_rom.ys
1 read_verilog -sv logic_rom.sv
2 prep -top top
3 select -assert-count 1 t:$mem_v2 r:SIZE=16 %i r:WIDTH=8 %i