Merge pull request #3310 from robinsonb5-PRs/master
[yosys.git] / tests / svtypes / static_cast_negative.ys
1 logger -expect error "Static cast with zero or negative size" 1
2 read_verilog -sv <<EOT
3 module top; wire [7:0] a = (-1)'(a); endmodule
4 EOT