Merge pull request #1705 from YosysHQ/logger_pass
[yosys.git] / tests / svtypes / typedef_memory.ys
1 read_verilog -sv typedef_memory.sv
2 prep -top top
3 select -assert-count 1 t:$mem r:SIZE=16 %i r:WIDTH=4 %i