Add ability to override verilog mode for verific -f command
[yosys.git] / tests / svtypes / typedef_memory_2.sv
1 module top(input [3:0] addr, wdata, input clk, wen, output reg [3:0] rdata);
2 typedef logic [3:0] nibble;
3
4 nibble mem[0:15];
5
6 always @(posedge clk) begin
7 if (wen) mem[addr] <= wdata;
8 rdata <= mem[addr];
9 end
10 endmodule