Merge pull request #1705 from YosysHQ/logger_pass
[yosys.git] / tests / svtypes / typedef_package.sv
1 package pkg;
2 typedef logic [7:0] uint8_t;
3 typedef enum logic [7:0] {bb=8'hBB} enum8_t;
4 endpackage
5
6 module top;
7
8 (* keep *) (pkg::uint8_t) a = 8'hAA;
9 (* keep *) (pkg::enum8_t) b_enum = pkg::bb;
10
11 always @* assert(a == 8'hAA);
12 always @* assert(b_enum == 8'hBB);
13
14 endmodule