Do not use shiftmul peepopt pattern when mul result is truncated, fixes #1047
[yosys.git] / tests / various / .gitignore
1 *.log