Allow constant function calls in constant function arguments
[yosys.git] / tests / various / bug1496.ys
1 read_ilang << EOF
2 module \top
3 wire input 1 \A
4 wire output 2 \Y
5 cell $_AND_ \sub
6 connect \A \A
7 connect \B 1'0
8 connect \Y \Y
9 end
10 end
11 EOF
12
13 extract_fa