Fix wire width
[yosys.git] / tests / various / elab_sys_tasks.ys
1 read_verilog -sv elab_sys_tasks.sv