a12ac6288689194f412fb2f0f63e14afc3a32e0e
[yosys.git] / tests / various / gen_if_null.v
1 module test(x, y, z);
2 localparam OFF = 0;
3 generate
4 if (OFF) ;
5 else input x;
6 if (!OFF) input y;
7 else ;
8 if (OFF) ;
9 else ;
10 if (OFF) ;
11 input z;
12 endgenerate
13 endmodule