Merge pull request #2365 from zachjs/const-arg-loop-split-type
[yosys.git] / tests / various / gen_if_null.ys
1 read_verilog gen_if_null.v
2 select -assert-count 1 test/x
3 select -assert-count 1 test/y
4 select -assert-count 1 test/z