Merge pull request #1770 from YosysHQ/claire/btor_symbols
[yosys.git] / tests / various / logger_warning.ys
1 logger -expect warning "is implicitly declared." 2
2 read_verilog << EOF
3 module top(...);
4 assign b = w;
5 endmodule
6 EOF