Do not use shiftmul peepopt pattern when mul result is truncated, fixes #1047
[yosys.git] / tests / various / reg_wire_error.ys
1 read_verilog -sv reg_wire_error.sv