Merge pull request #2626 from zachjs/param-no-default
[yosys.git] / tests / verilog / param_no_default_unbound_3.ys
1 read_verilog -sv <<EOF
2 module Example #(
3 parameter X, Y
4 );
5 endmodule
6 module top;
7 Example #(1) e();
8 endmodule
9 EOF
10
11 logger -expect error "Parameter `\\Y' has no default value and has not been overridden!" 1
12 hierarchy -top top