read_verilog example.v synth_sf2 -top top -edif netlist.edn write_verilog netlist.v