read_verilog example.v synth_sf2 -top top -edif example.edn