# Icarius Verilog generated files simcrg simsoc # nMigen generated files build/ build_simsoc/ build_simcrg/ # Simulation output *.vcd *.fst # Patched files DDRDLLA.v