### Makefile for the srio TOP_MODULE:=mkslow_peripherals TOP_FILE:=slow_peripherals.bsv HOMEDIR:=./ TOP_DIR:=./ BSVBUILDDIR:=./build/ VERILOGDIR:=./verilog/ BSVINCDIR:= .:%/Prelude:%/Libraries:%/Libraries/BlueNoC FPGA=xc7a100tcsg324-1 export HOMEDIR=./ export TOP=$(TOP_MODULE) default: full_clean compile link simulate timing_area: full_clean generate_verilog vivado_build .PHONY: compile compile: @echo Compiling $(TOP_MODULE).... @mkdir -p $(BSVBUILDDIR) @bsc -u -sim -simdir $(BSVBUILDDIR) -bdir $(BSVBUILDDIR) -info-dir $(BSVBUILDDIR) -keep-fires -p $(BSVINCDIR) -D NAME=neel -g $(TOP_MODULE) $(TOP_DIR)/$(TOP_FILE) @echo Compilation finished .PHONY: link link: @echo Linking $(TOP_MODULE)... @mkdir -p bin @bsc -e $(TOP_MODULE) -sim -o ./bin/out -simdir $(BSVBUILDDIR) -p .:%/Prelude:%/Libraries:%/Libraries/BlueNoC:./c_files -keep-fires -bdir $(BSVBUILDDIR) -keep-fires ./c_files/checker.c @echo Linking finished .PHONY: generate_verilog generate_verilog: @echo Compiling $(TOP_MODULE) in verilog ... @mkdir -p $(BSVBUILDDIR); @mkdir -p $(VERILOGDIR); @bsc -u -verilog -elab -vdir $(VERILOGDIR) -bdir $(BSVBUILDDIR) -info-dir $(BSVBUILDDIR)\ $(define_macros) -D verilog=True $(BSVCOMPILEOPTS) -verilog-filter ${BLUESPECDIR}/bin/basicinout\ -p $(BSVINCDIR) -g $(TOP_MODULE) $(TOP_DIR)/$(TOP_FILE) || (echo "BSC COMPILE ERROR"; exit 1) .PHONY: simulate simulate: @echo Simulation... ./bin/out @echo Simulation finished. .PHONY: clean clean: rm -rf build bin *.jou *.log .PHONY: full_clean full_clean: clean rm -rf verilog fpga