logger -expect warning "is implicitly declared." 2 read_verilog << EOF module top(...); assign b = w; endmodule EOF