logger -expect error "Cannot add memory `\\x' because a signal with the same name was already created" 1 read_verilog <